Hardware Reference
In-Depth Information
Entwurfseinheiten desselben Projekts stehen in der Regel in der Arbeitsbiblio-
thek work. Die Zuordnungslisten können namensbasiert oder positionsbasiert
aufgebaut sein. Eine namensbasierte Zuordnungsliste besteht aus Zuordnungs-
tupeln der Form:
BS => BZ{ , BS => BZ}
(
- Be-
zeichner des zugeordneten Datenobjekts in der Strukturbeschreibung). Eine
positionsbasierte Zuordnungsliste ist eine kommaseparierte geordnete Liste
der zugeordneten Objekte:
BS
- Bezeichner in der Schnittstellendefinition der Teilschaltung;
BZ
BZ{ , BZ}
Diese Objekte müssen in der durch die Schnittstelle vereinbarten Reihenfolge
zugeordnet werden. Für beide Arten der Zuordnungsliste müssen die Typen
der einander zugeordneten Objekte übereinstimmen und für die Zuordnungs-
liste der Anschlüsse müssen zusätzlich die Signalflussrichtungen zueinander
passen. Passen heißt, dass Signale, außer in wenigen später behandelten Aus-
nahmen, genau eine Quelle und mindestens einen Empfänger haben. Nicht ver-
wendeten Anschlüssen und Parametern wird entweder nichts oder das Schlüs-
selwort »open« zugeordnet.
Beispiel sei die Strukturbeschreibung in Abb 1.31 für die Gatterschaltung
aus Abb. 1.27. Die Schnittstellenbeschreibung definiert vier Eingabe- und ein
Ausgabesignal, die alle vom Typ std_logic sind. Die internen Signale wer-
den im Vereinbarungsteil der Beschreibung vereinbart. Die Instanziierung der
drei enthaltenen Gatter folgt im Anweisungsteil. Die Gatter G1 und G2 sind
Entwurfseinheiten vom Typ »Und2« mit der Beschreibung »Vers1« aus der
G1
x 1
z 1
-- Gatterinstanzen
G1: entity WORK .Und2(Vers1)
generic map( 1 ns )
port map (x1, x2, z1);
G2: entity WORK .Und(Vers1)
generic map(td => 1 ns )
port map (a=>x3, b=>x4,
c=>z2);
G3: entity WORK .Oder(Vers1)
generic map(td => 2 ns )
port map (a=>z1, b=>z2,
c=>y);
end architecture ;
) WEB-Projekt:P1.2/G3E.vhdl
&
G3
x 2
1
y
G2
x 3
x 4
z 2
&
library ieee;
use ieee.std_logic_1164. all ;
entity G3E is
port (x1,x2,x3,x4: in std_logic;
y: out std_logic);
end entity ;
architecture Struktur of G3E is
signal z1, z2:std_logic;
begin
Abb. 1.31. Beispiel einer Strukturbeschreibung
 
Search WWH ::




Custom Search