Hardware Reference
In-Depth Information
b) Die Schaltung hat fünf mögliche Signalpfade, für die in Abb. 6.3 rechts die
Halte- und Verzögerungszeiten aufsummiert sind. Die minimale Haltezeit
ist 8 ns und die maximale Verzögerungszeit ist 25ns.
G1 G3 G6
SummederHalte-undVerz¨o-
x 0
x 1
z 0
gerungszeitenf¨urallePfade
& 1 1
z 2
derGesamtschaltung
y
P t h.i
P t d.i
G2 G4 G5
Pfad
x 2
x 3
x 4
z 1
1 &
z 3 z 4
1
12ns
13ns
17ns
12ns
21ns
19ns
25ns
19ns
G1-G3-G6
G2-G3-G6
G2-G4-G5-G6
G4-G5-G6
Halte-undVerz¨ogerungszeitenderGatter
t h.i t d.i t h.i t d.i
4ns
3ns
G5-G6 12ns
8ns
G1
G2
G3
4ns 8ns
6ns
6ns
G4
G5
G6
7ns
5ns
5ns 7ns
5ns
minimaleHaltezeit:8ns
3ns
maximaleVerz¨ogerungszeit:25ns
Abb. 6.3. Schaltung und Signallaufzeiten zu Aufgabe 1.13
6.1.4 Register
Lösung zu Aufgabe 1.14
a) Das Zeitfenster, in dem x 0 und x 1 vor der aktiven Taktflanke gültig sein
müssen, ergibt sich aus der Summe der Halte- und Verzögerungszeiten
beider Gatter und der Vorhaltezeit des Registers:
t 1 = t h1 + t h2
t 2 = t d1 + t d2 + t s
Für das Zeitfenster, in dem x 2 gültig sein muss, sind nur die Halte- und
Verzögerungszeit von G2 und die Registervorhaltezeit zu berücksichtigen:
t 3 = t h2
t 4 = t d2 + t s
Die Zeit t 5 ist die Vorhaltezeit t s , t 6 die Verzögerungszeit und t 7 die Hal-
tezeit des Registers.
b) Die Signale sind mit dem Typ std_logic zu vereinbaren. Ein Abtast-
prozess hat nur den Takt in der Weckliste. Für eine korrekte Übernahme
müssen die Eingabesignale x 0 bis x 2 innerhalb ihres Abtastfensters stabil
sein. Die Zeitbedingungen sind aus Aufgabenteil a zu übernehmen. Wenn
alle Vorhaltebedingungen erfüllt sind, wird dem Ausgang nach der Hal-
tezeit der Wert »ungültig« und nach der Verzögerungszeit der Wert des
logischen Ausdrucks (x 0 x 1 ) x 2 zugewiesen, sonst nur nach der Haltezeit
der Wert »ungültig«.
Search WWH ::




Custom Search