Hardware Reference
In-Depth Information
557. Sugumar RA, Abraham SG. Efficient simulation of caches under optimal replacement with
applications to miss characterization. Proc ACM SIGMETRICS Conf on Measurement and
Modeling of Computer Systems 1993;24-35.
558. Sun Microsystems. [1989]. The SPARC Architectural Manual , Version 8, Part No. 8001399-09,
Sun Microsystems, Santa Clara, Calif.
559. Sussenguth E. IBM's ACS-1 Machine. IEEE Computer . 1999;22 (November).
560. Swan RJ, Fuller SH, Siewiorek DP. Cm*—a modular, multi-microprocessor. Proc AFIPS Na-
tional Computing Conf. 1977;637-644.
561. Swan RJ, Bechtolsheim A, Lai KW, Ousterhout JK. The implementation of the Cm* multi-
microprocessor. Proc AFIPS National Computing Conf. 1977;645-654.
562. Swarzlander E, ed. Computer Arithmetic . Los Alamitos, Calif: IEEE Computer Society Press;
1990.
563. Takagi N, Yasuura H, Yajima S. High-speed VLSI multiplication algorithm with a redund-
ant binary addition tree. IEEE Trans on Computers . 1985;C-34(9):789-796.
564. Talagala, N. [2000]. “Characterizing Large Storage Systems: Error Behavior and Perform-
ance Benchmarks,” Ph.D. dissertation, Computer Science Division, University of Califor-
nia, Berkeley.
565. Talagala, N., and D. Paterson [1999]. An Analysis of Error Behavior in a Large Storage System ,
Tech. Report UCB//CSD-99-1042, Computer Science Division, University of California,
Berkeley.
566. Talagala N, Arpaci-Dusseau R, Paterson D. Micro-Benchmark Based Extraction of Local
and Global Disk Characteristics University of California, Berkeley: CSD-99-1063, Com-
puter Science Division; 2000.
567. Talagala N, Asami S, Paterson D, Futernick R, Hart D. The art of massive storage: A case
study of a Web image archive. Computer 2000; (November).
568. Tamir Y, Frazier G. Dynamically-allocated multi-queue buffers for VLSI communication
switches. IEEE Trans on Computers . 1992;41(6):725-734 (June).
569. Tanenbaum AS. Implications of structured programming for machine architecture. Com-
munications of the ACM . 1978;21(3):237-246 (March).
570. Tanenbaum AS. Computer Networks 2nd ed. Englewood Cliffs, N.J: Prentice Hall; 1988.
571. Tang CK. Cache design in the tightly coupled multiprocessor system. Proc AFIPS National
Computer Conf. 1976;749-753.
572. Tanqueray D. The Cray X1 and supercomputer road map. Proc 13th Daresbury Machine
Evaluation Workshop 2002.
573. Tarjan, D., S. Thoziyoor, and N. Jouppi [2005]. “HPL Technical Report on CACTI 4.0,”
www.hpl.hp.com/techeports/2006/HPL=2006+86.html .
574. Taylor GS. Compatible hardware for division and square root. Proc 5th IEEE Symposium on
Computer Arithmetic 1981;127-134.
575. Taylor GS. Radix 16 SRT dividers with overlapped quotient selection stages. Proc Seventh
IEEE Symposium on Computer Arithmetic 1985;64-71.
576. Taylor G, Hilinger P, Larus J, Paterson D, Zorn B. Evaluation of the SPUR LISP architec-
ture. Proc 13th Annual Int'l Symposium on Computer Architecture (ISCA) 1986.
577. Taylor MB, Lee W, Amarasinghe SP, Agarwal A. Scalar operand networks. IEEE Trans on
Parallel and Distributed Systems . 2005;16(2):145-162 (February).
578. Tendler JM, Dodson JS, Fields Jr JS, Le H, Sinharoy B. Power4 system microarchitecture.
IBM J Research and Development . 2002;46(1):5-26.
579. Texas Instruments. History of Innovation: 1980s. In: www.ti.com/corp/docs/company/history/
1980s.shtml ; 2000.
Search WWH ::




Custom Search