Hardware Reference
In-Depth Information
379. Lubeck O, Moore J, Mendez R. A benchmark comparison of three supercomputers: Fujitsu
VP-200, Hitachi S810/20, and Cray X-MP/2. Computer . 1985;18(12):10-24 (December).
380. Luk C-K, Mowry TC. Automatic compiler-inserted prefetching for pointer-based applica-
tions. IEEE Trans on Computers . 1999;48(2):134-141 (February).
381. Lunde A. Empirical evaluation of some features of instruction set processor architecture.
Communications of the ACM . 1977;20(3):143-152 (March).
382. Luszczek, P., J. J. Dongarra, D. Koester, R. Rabenseifner, B. Lucas, J. Kepner, J. McCalpin,
D. Bailey, D. Takahashi [2005]. “Introduction to the HPC challenge benchmark suite,”
Lawrence Berkeley National Laboratory, Paper LBNL-57493 (April 25), repositor-
ies.cdlib.org/lbnl/LBNL-57493 .
383. Maberly NC. Mastering Speed Reading New York: New American Library; 1966.
384. Magenheimer DJ, Peters L, Petis KW, Zuras D. Integer multiplication and division on the
HP precision architecture. IEEE Trans on Computers . 1988;37(8):980-990.
385. Mahlke SA, Chen WY, Hwu W-M, Rau BR, Schlansker MS. Sentinel scheduling for VLIW
and superscalar processors. Proc Fifth Int'l Conf on Architectural Support for Programming
Languages and Operating Systems (ASPLOS) 1992;238-247.
386. Mahlke SA, Hank RE, McCormick JE, August DI, Hwu WW. A comparison of full and par-
tial predicated execution support for ILP processors. Proc 22nd Annual Int'l Symposium on
Computer Architecture (ISCA) 1995;138-149.
387. Major JB. Are queuing models within the grasp of the unwashed? Proc Int'l Conf on Man-
agement and Performance Evaluation of Computer Systems 1989;831-839.
388. Markstein PW. Computation of elementary functions on the IBM RISC System/6000 pro-
cessor. IBM J Research and Development . 1990;34(1):111-119.
389. Mathis HM, Mercias AE, McCalpin JD, Eickemeyer RJ, Kunkel SR. Characterization of
the multithreading (SMT) efficiency in Power5. IBM J Research and Development . 2005;49(4/
5):555-564 (July/September).
390. McCalpin J. STREAM: Sustainable Memory Bandwidth in High Performance Computers.
In: www.cs.virginia.edu/stream/ ; 2005.
391. McCalpin, J., D. Bailey, D. Takahashi [2005]. Introduction to the HPC Challenge Benchmark
Suite , Paper LBNL-57493 Lawrence Berkeley National Laboratory, University of California,
Berkeley, repositories.cdlib.org/lbnl/LBNL-57493 .
392. McCormick, J., and A. Knies [2002]. “A brief analysis of the SPEC CPU2000 benchmarks on
the Intel Itanium 2 processor,” paper presented at Hot Chips 14, August 18-20, 2002, Stan-
ford University, Palo Alto, Calif.
393. McFarling S. Program optimization for instruction caches. Proc Third Int'l Conf on Architec-
tural Support for Programming Languages and Operating Systems (ASPLOS) 1989;183-191.
394. McFarling S. Combining Branch Predictors Palo Alto, Calif: WRL Technical Note TN-36,
Digital Western Research Laboratory; 1993.
395. McFarling S, Hennessy J. Reducing the cost of branches. Proc 13th Annual Int'l Symposium
on Computer Architecture (ISCA) 1986;396-403.
396. McGhan H, O'Connor M. PicoJava: A direct execution engine for Java bytecode. Computer .
1998;31(10):22-30 (October).
397. McKeeman WM. Language directed computer design. Proc AFIPS Fall Joint Computer Conf.
1967;413-417.
398. McMahon, F. M. [1986]. “ The Livermore FORTRAN Kernels: A Computer Test of Numerical
Performance Range ,” Tech. Rep. UCRL-55745, Lawrence Livermore National Laboratory,
University of California, Livermore.
Search WWH ::




Custom Search