Hardware Reference
In-Depth Information
fünfte bewirkt wieder keine Ausgabesignaländerung. Die Mindestdauer eines
Eingabeimpulses, der auf einen Ausgabeimpuls abgebildet wird, ist 10 ns.
Die anderen Verzögerungsmodelle sind in der Signalzuweisung anzugeben:
Signalname <= VM W [after t d ]{ , W after t d } ;
VM ) transport|[reject t r ] inertial]
(
Verzögerungsmodell; W - Ausdruck zur Berechnung des neuen Wertes;
t d - Verzögerungszeit; t r - Rückweiszeit). Das Transportverzögerungsmodell
(Schlüsselwort »transport«) deaktiviert die Glitch-Unterdrückung. Bei der Zu-
weisung eines neuen Wert-Zeit-Tupels bleiben alle schwebenden Zuweisungen,
deren Zeitwerte vor dem der neuen Zuweisung liegen, erhalten. Schwebende
Zuweisungen für spätere Zeitpunkte werden unabhängig vom Verzögerungs-
modell immer gelöscht. Die Signalzuweisung in Abb. 1.36 b erzeugt eine exakte
zeitversetzte Kopie des Eingabesignals auf der rechten Zuweisungsseite.
Zwischen den beiden Grenzfällen - Löschen aller oder nur der späteren
schwebenden Zuweisungen - gibt es ein weiteres Verzögerungsmodell mit ein-
stellbarer Glitch-Unterdrückungsdauer. Das ist das Reject-Inertial-Modell:
VM -
y <= reject t r inertial W after t d { , W after t d } ;
(t d - Verzögerungszeit; t r < t d - Rückweiszeit). Bei einer neuen Zuweisung
werden bei diesem Verzögerungsmodell nur die schwebenden Zuweisungen für
spätere Zeitpunkte als
t sim + t d t r
(t sim - aktuelle Simulationszeit der Signalzuweisung) gelöscht. Dabei gibt es
wieder dieselbe Ausnahme wie bei dem Standardverzögerungsmodell. Wenn
die schwebenden Zuweisungen den neuen Wert bereits für einen früheren Si-
mulationszeitpunkt vorgemerkt haben, wird der frühere Zeitpunkt beibehal-
ten. Eine Signalzuweisung mit diesem Verzögerungsmodell unterdrückt alle
Glitches bis zur Länge der Rückweiszeit t r (Abb. 1.36 c).
Abbildung 1.37 zeigt ein Beispielprogramm, um die Wirkung der unter-
schiedlichen Verzögerungsmodelle genauer zu untersuchen. Die Signale sind
vom Typ natural und haben den Anfangswert null. Zum Simulationsbeginn
werden allen drei Signalen fünf Änderungen im Abstand von 1ns mit von »1«
hochzählenden Werten zugewiesen. Nach einer Wartezeit von 2,5 ns werden
zwei weitere Änderungen nach 3ns und 4 ns zugewiesen, diesmal jedoch mit
unterschiedlichen Verzögerungsmodellen.
Mit dem Standardverzögerungsmodell löscht die zweite Zuweisung die schwe-
benden Änderungen für alle Zeitpunkte größer der aktuellen Simulationszeit
von 2,5 ns. Von der ersten Zuweisung werden nur die Änderungen nach 1ns
und 2 ns ausgeführt. Mit dem Transportverzögerungsmodell bleiben die schwe-
benden Änderungen für alle Zeitpunkte größer der aktuellen Simulationszeit
von 2,5 ns plus der Verzögerungszeit von 3 ns erhalten, so dass auch die Ände-
rungszuweisungen für 3ns, 4 ns und 5 ns ausgeführt werden. Bei der Zuweisung
mit Rückweiszeit werden die schwebenden Änderungen für alle Zeitpunkte grö-
ßer der aktuellen Simulationszeit von 2,5 ns plus der Verzögerungszeit von 3 ns
Search WWH ::




Custom Search