Hardware Reference
In-Depth Information
1.2.5 Strukturbeschreibung
Eine Strukturbeschreibung beschreibt die Zusammensetzung einer Gesamt-
schaltung aus Teilschaltungen. Wie bereits in Abschnitt 1.2.2 eingeführt, ist
das ein Graph mit den Bauteilen als Knoten und den Signalen als Kanten.
Die rechnerinterne Darstellung besteht aus der Vereinbarung aller Bauteile
und Signale und einer Verbindungsliste, die entweder den Bauteilanschlüssen
Signale oder den Signalen Bauteilanschlüsse zuordnet. In VHDL erfolgt die
Zuordnung bauteilorientiert.
Schnittstellenvereinbarung
Jede Entwurfseinheit - unabhängig davon ob sie eine Teilschaltung, eine Ge-
samtschaltung oder einen Testrahmen beschreibt - hat in VHDL eine Schnitt-
stelle. Die Schnittstellenvereinbarung definiert die Anschlusssignale und für
parametrisierte Schaltungen zusätzlich die Parameter. Sie hat die folgende
Form:
entity Bezeichner_Entwurfseinheit is
[generic (Liste_der_Konfigurationsparameter) ; ]
[port (Liste_der_Anschlusssignale) ; ]
end entity ;
Die Liste der Anschlusssignale legt für alle Anschlusssignale einen Bezeichner,
die Signalflussrichtung, den Datentyp und optional einen Standardwert fest:
Signalname {,Signalname} : Richtung Typ [ := Standardwert]
{;Signalname {,Signalname} : Richtung Typ [ := Standardwert]}
Mögliche Signalflussrichtungen sind:
in -- Eingang
out -- Ausgang
inout -- Ein- und Ausgang
buffer -- Ausgang mit rücklesbarem Wert
Die Liste der Konfigurationsparameter hat eine ähnliche Beschreibungsstruk-
tur, nur dass die Parameter lokal statische (zum Übersetzungszeitpunkt be-
kannte) Konstanten sein müssen und keine Signalflussrichtung haben:
Parametername {,Parametername} : Typ [ := Standardwert]
{;Parametername {,Parametername} : Typ [ := Standardwert]}
Die Standardwerte haben nur für Eingabesignale und Parameter eine Bedeu-
tung. Das sind die Werte dieser Objekte, wenn ihnen in der übergeordneten
Beschreibung kein Signal bzw. kein Parameterwert zugeordnet wird.
Abbildung 1.30 zeigt als Beispiel die Beschreibung eines UND-Gatters. Die
Schnittstelle definiert die Verzögerungszeit als Konfigurationsparameter und
legt die Typen und Signalflussrichtungen der drei Anschlusssignale fest. Die
Search WWH ::




Custom Search