Hardware Reference
In-Depth Information
Diese sind gemeinsam mit allen Operatoren und Unterprogrammen für sie in
den Packages »Tuc.Numeric_Sim« und »Tuc.Numeric_Synth« definiert (sie-
he später Abschnitt 3.2.7 und Anhang A.2.4). Festkommazahlen werden im
Weiteren auf der Bitvektorebene durch ganze Zahlen mit gedachter Komma-
position dargestellt, und für Gleitkommazahlen werden in den nachfolgenden
Simulationsmodellen ausschließlich reellwertige Zahlentypen verwendet.
Die Werte von Zahlentypen werden durch Ziffernfolgen dargestellt. Zur
Verbesserung der Lesbarkeit darf zwischen zwei Ziffern ein einzelner Unter-
strich eingefügt sein, z.B. »1_000_000« für eine Million. Zur Darstellung in
einem anderen Zahlensystem als dem Dezimalsystem wird die Ziffernfolge in
Nummernzeichen »#« eingerahmt und der Wert der Basis des Zahlensystems
vorangestellt (Tabelle 2.4). Die Basis des Zahlensystems darf dabei auch einen
von »2«, »8« oder »16« abweichenden Wert haben. Zahlenkonstanten für Bit-
vektortypen bestehen aus dem vorangestellten Zeichen »b«, »o« oder »x« für
die Basis des Zahlensystems und der Ziffernfolge als Zeichenkette. Auch hier
ist ein einzelner Unterstrich zur Trennung von Zifferngruppen erlaubt (siehe
Anhang A.1.5). Alternativ können die Zahlenwerte auch durch ganz norma-
le Bitvektorkonstanten aus den Zeichen »U«, »X«, »0«, »1« etc. dargestellt
werden. Eine Dezimaldarstellung gibt es für Bitvektorkonstanten nicht, dafür
aber Konvertierungsfunktionen, die auch dezimal dargestellte Zahlenwerte in
die entsprechende Bitvektordarstellung umwandeln (siehe Anhang A.2.4).
Tabelle 2.4. Darstellung ganzzahliger Werte
Basis
Beispielwert
VHDL-Zahlenkonstante VHDL-Bitvektorkonstante
10
1.000
1_000
to_t[Un]signed(1_000)
2
111011 2
2#11_1011#
b"11_1011"
8
73146 8
8#73146#
o"73146"
16
1FC2AA 16
16#1FC2AA#
x"1FC2AA"
Bis zur Standardrevision VHDL-2008 entspricht eine Hexadezimalziffer in
der Bitvektordarstellung exakt vier und eine Oktalziffer exakt drei Binärzif-
fern. Ab VHDL-2008 dürfen überflüssige Nullen vorangestellt sein. Dann kann
z.B. x"5" auch zur Darstellung der 3-Bit-Zahl "101" verwendet werden.
2.4.6 Zusammenfassung und Übungsaufgaben
Vorzeichenfreie Zahlen werden in Hardware im Stellenwertsystem mit der Ba-
sis »2« dargestellt und negative Zahlen im Zweierkomplement. Für beide Dar-
stellungsarten ist die Zählreihenfolge identisch. Nur die Werte, bei denen der
Zahlenbereich überläuft, sind zirkular verschoben. Die Darstellung von Fest-
kommazahlen erfolgt mit ganzen Zahlen und einer gedachten Kommaposition.
 
Search WWH ::




Custom Search