Digital Signal Processing Reference
In-Depth Information
53. Sun, Y., Cavallaro, J.R.: Efficient hardware implementation of a highly-parallel 3GPP LTE,
LTE-advance turbo decoder. Integration, the VLSI Journal, Special Issue on Hardware
Architectures for Algebra, Cryptology and Number Theory 44 (4), 305-315 (2011)
54. Sun, Y., Cavallaro, J.R.: A flexible LDPC/turbo decoder architecture. Journal of Signal
Processing System 64 (1), 1-16 (2011)
55. Sun, Y., Karkooti, M., Cavallaro, J.R.: VLSI decoder architecture for high throughput, variable
block-size and multi-rate LDPC codes. In: IEEE International Symposium on Circuits and
Systems (ISCAS), pp. 2104-2107 (2007)
56. Sun, Y., Wang, G., Cavallaro, J.R.: Multi-layer parallel decoding algorithm and VLSI
architecture for quasi-cyclic LDPC codes. In: IEEE International Symposium on Circuits and
Systems, pp. 1776-1779 (2011)
57. Sun, Y., Zhu, Y., Goel, M., Cavallaro, J.R.: Configurable and scalable high throughput turbo
decoder architecture for multiple 4G wireless standards. In: IEEE International Conference on
Application-Specific Systems, Architectures and Processors (ASAP), pp. 209-214 (2008)
58. Takala, J.: General-purpose DSP processors. In: S.S. Bhattacharyya, E.F. Deprettere, R. Leu-
pers, J. Takala (eds.) Handbook of Signal Processing Systems, second edn. Springer (2013)
59. Tarokh, V., Jafarkhani, H., Calderbank, A.R.: Space-time block codes from orthogonal designs.
IEEE Transactions on Information Theory 45 (5), 1456-1467 (1999)
60. Tarokh, V., Jafarkhani, H., Calderbank, A.R.: Space time block coding for wireless commu-
nications: Performance results. IEEE Journal on Selected Areas in Communications 17 (3),
451-460 (1999)
61. Telatar, I.E.: Capacity of multiantenna Gaussian channels. European Transaction on Telecom-
munications 10 , 585-595 (1999)
62. Tensilica Inc.: http://www.tensilica.com (2009)
63. Texas Instruments: TMS 320C6000 CPU and instruction set reference guide. http://dspvillage.
ti.com (2001)
64. Texas Instruments: TMS 320C55x DSP CPU programmer's reference supplement. http://focus.
ti.com/lit/ug/spru652g/spru652g.pdf (2005)
65. Texas Instruments: TMS320C6474 high performance multicore processor datasheet. http://
focus.ti.com/docs/prod/folders/print/tms320c6474.html (2008)
66. Thul, M.J., Gilbert, F., Vogt, T., Kreiselmaier, G., Wehn, N.: A scalable system architecture
for high-throughput turbo-decoders. The Journal of VLSI Signal Processing 39 (1-2), 63-77
(2005)
67. Viterbi, A.: Error bounds for convolutional coding and an asymptotically optimum decoding
algorithm. IEEE Transactions on Information Theory IT-13 , 260-269 (1967)
68. Wijting, C., Ojanpera”, T., Juntti, M., Kansanen, K., Prasad, R.: Groupwise serial multiuser
detectors for multirate DS-CDMA. In: IEEE Vehicular Technology Conference, vol. 1,
pp. 836-840 (1999)
69. Willmann, P., Kim, H., Rixner, S., Pai, V.S.: An efficient programmable 10 gigabit Ethernet
network interface card. In: ACM International Symposium on High-Performance Computer
Architecture, pp. 85-86 (2006)
70. Witte, E., Borlenghi, F., Ascheid, G., Leupers, R., Meyr, H.: A scalable VLSI architecture for
soft-input soft-output single tree-search sphere decoding. IEEE Trans. on Circuits and Systems
II: Express Briefs 57 (9), 706-710 (2010)
71. Wong, C.C., Chang, H.C.: Reconfigurable turbo decoder with parallel architecture for 3GPP
LTE system. IEEE Tran. on Circuits and Systems II: Express Briefs 57 (7), 566-570 (2010)
72. Wong, K., Tsui, C., Cheng, R.S., Mow, W.: A VLSI architecture of a K-best lattice decoding
algorithm for MIMO channels. In: IEEE Internation Symposium on Circuits and Systems,
vol. 3, pp. 273-276 (2002)
73. Wu, M., Sun, Y., Cavallaro, J.: Implementation of a 3GPP LTE turbo decoder accelerator on
GPU. In: IEEE Workshop on Signal Processing Systems, pp. 192-197 (2010)
74. Wu, M., Sun, Y., Gupta, S., Cavallaro, J.R.: Implementation of a high throughput soft MIMO
detector on GPU. Journal of Signal Processing System 64 (1), 123-136 (2011)
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Search WWH ::




Custom Search