Digital Signal Processing Reference
In-Depth Information
29. Gustafsson, O., Wanhammar, L.: Arithmetic. In: S.S. Bhattacharyya, E.F. Deprettere, R. Leu-
pers, J. Takala (eds.) Handbook of Signal Processing Systems, second edn. Springer (2013)
30. Han, S., Tellambura, C.: A complexity-efficient sphere decoder for MIMO systems. In: IEEE
International Conference on Communications, pp. 1-5 (2011)
31. Hassibi, B., Vikalo, H.: On the sphere-decoding algorithm I. expected complexity. IEEE
Transaction On Signal Processing 53 (8), 2806-2818 (2005)
32. Hunter, H.C., Moreno, J.H.: A new look at exploiting data parallelism in embedded systems.
In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for
Embedded Systems, pp. 159-169 (2003)
33. Jin, J., Tsui, C.: Low-complexity switch network for reconfigurable LDPC decoders. IEEE
Transactions on Very Large Scale Integration (VLSI) Systems 18 (8), 1185-1195 (2010)
34. Lechner, G., Sayir, J., Rupp, M.: Efficient DSP implementation of an LDPC decoder. In: IEEE
Int. Conf. on Acoustics, Speech, and Signal Processing, vol. 4, pp. 665-668 (2004)
35. Lee, S.J., Shanbhag, N.R., Singer, A.C.: Area-efficient high-throughput MAP decoder archi-
tectures. IEEE Transaction on VLSI Systems 13 (8), 921-933 (2005)
36. Lin, C.H., Chen, C.Y., Wu, A.Y.: Area-efficient scalable MAP processor design for high-
throughput multistandard convolutional turbo decoding. IEEE Transactions on Very Large
Scale Integration (VLSI) Systems 19 (2), 305-318 (2011)
37. Liu, D., Wang, J.: Application specific instruction set DSP processors. In: S.S. Bhattacharyya,
E.F. Deprettere, R. Leupers, J. Takala (eds.) Handbook of Signal Processing Systems, second
edn. Springer (2013)
38. Martina, M., Nicola, M., Masera, G.: A flexible UMTS-WiMax turbo decoder architecture.
IEEE Transactions on Circuits and Systems II 55 (4), 369-273 (2008)
39. May, M., Ilnseher, T., Wehn, N., Raab, W.: A 150 Mbit/s 3GPP LTE turbo code decoder. In:
IEEE Design, Automation & Test in Europe Conference & Exhibition, pp. 1420-1425 (2010)
40. McAllister, J.: FPGA-based DSP. In: S.S. Bhattacharyya, E.F. Deprettere, R. Leupers, J. Takala
(eds.) Handbook of Signal Processing Systems, second edn. Springer (2013)
41. Myllyla, M., Silvola, P., Juntti, M., Cavallaro, J.R.: Comparison of two novel list sphere de-
tector algorithms for MIMO-OFDM systems. In: IEEE International Symposium on Personal
Indoor and Mobile Radio Communications, pp. 1-5 (2006)
42. Parhi, K.K.: VLSI digital signal processing systems design and implementation. Wiley (1999)
43. Prescher, G., Gemmeke, T., Noll, T.G.: A parametrizable low-power high-throughput turbo-
decoder. In: IEEE Int. Conf. on Acoustics, Speech, and Signal Processing, vol. 5, pp. 25-28
(2005)
44. Rovini, M., Gentile, G., Rossi, F., Fanucci, L.: A scalable decoder architecture for IEEE
802.11n LDPC codes. In: IEEE Global Telecommunications Conference, pp. 3270-3274
(2007)
45. Sadjadpour, H., Sloane, N., Salehi, M., Nebe, G.: Interleaver design for turbo codes. IEEE
Journal on Seleteced Areas in Communications 19 (5), 831-837 (2001)
46. Salmela, P., Gu, R., Bhattacharyya, S., Takala, J.: Efficient parallel memory organization for
turbo decoders. In: Proc. European Signal Processing Conf., pp. 831-835 (2007)
47. Shin, M.C., Park, I.C.: A programmable turbo decoder for multiple 3G wireless standards. In:
IEEE Solid-State Circuits Conference, vol. 1, pp. 154-484 (2003)
48. Studer, C., Benkeser, C., Belfanti, S., Huang, Q.: Design and implementation of a aarallel
turbo-decoder ASIC for 3GPP-LTE. IEEE Journal of Solid-State Circuits 46 (1), 8-17 (2011)
49. Sun, J., Takeshita, O.: Interleavers for turbo codes using permutation polynomials over integer
rings. IEEE Transaction on Information Theory 51 (1), 101-119 (2005)
50. Sun, Y.: Parallel VLSI architectures for multi-Gbps MIMO communication systems. Ph.D.
thesis, Rice University, Houston, Texas, USA (2010)
51. Sun, Y., Cavallaro, J.R.: A low-power 1-Gbps reconfigurable LDPC decoder design for
multiple 4G wireless standards. In: IEEE International SOC Conference, pp. 367-370 (2008)
52. Sun, Y., Cavallaro, J.R.: Scalable and low power LDPC decoder design using high level
algorithmic synthesis. In: IEEE International SOC Conference (SoCC), pp. 267-270 (2009)
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Search WWH ::




Custom Search