Hardware Reference
In-Depth Information
Thatte SM, Abraham JA (Jun 1977) Testing of semiconductor random access memories. In Pro-
ceedings of the international fault-tolerant computing symposium, pp 81-87
van de Goor AJ, Verruijt CA (Mar 1990) An overview of deterministic functional RAM chip test-
ing. ACM Comput Surv 22(1): 5-33
van
de
Goor
AJ
(1991)
Testing
semiconductor
memories,
theory
and
practice.
Wiley,
Chichester, UK
van de Goor AJ (1999) Industrial evaluation of DRAM test. In Proceedings of design, automation
and test in Europe, pp 623-630
van de Goor AJ, Al-Ars Z (2000) Functional memory faults: a formal notation and taxonomy.
In Proceedings IEEE VLSI test symposium, pp 281-289
Wu C-F, Huang C-T, Cheng K-L, Wu C-W (2000) Simulation-based test algorithm generation for
random access memories. In Proceedings of the 18th IEEE VLSI test symposium, pp 291-296
Zarrineh K, Upadhyaya SJ, Chakravarty S (1998) A new framework for generating optimal march
tests for memory arrays. In Proceedings of the IEEE international test conference, pp 73-82
Zarrineh K, Upadhyaya SJ, Chakravarty S (Dec 2001) Automatic generation and compaction of
March tests for memory. IEEE Trans VLSI Sys 9(6):845-857
 
 
 
 
 
 
 
 
 
 
 
 
 
Search WWH ::




Custom Search