Hardware Reference
In-Depth Information
7.7.
What does the following assertion mean?
assert property (@($global_clock)
s_eventually $changing_gclk(clk));
What
is
the
difference
between
this
assertion
and
the
assertion
from
Example 7.33
7.8. Write an assertion stating that the clock ticks at least n times, where n is an
elaboration time constant. See Example 7.33 .
7.9. There are two clocks: fast ( fclk) and slow ( sclk ), and sclk is 8 times slower
than fclk . Write the following assertion: signal sig may only change on the third
rising edge of sclk after its last change.
Search WWH ::




Custom Search