Information Technology Reference
In-Depth Information
Address:
tag
index
b-offset
low-order tag bits
Halt Tags
wordline
index
DATA
DATA
DATA
DATA
WAY0
WAY1
WAY2
WAY3
FIGURE 4.31: Way halting: each way is augmented with a CAM array (Halt tags). A Halt tag comprises
few low-order bits of the tag. The Halt tags gate the wordlines to the rest of the tag and the data arrays.
Adapted from [ 168 ].
enhance the chances of hitting in the LC on an L1 miss, sequential prefetching loads the LC
with the position of subsequent cache lines.
Way halting is another technique that deterministically accesses only the correct data way.
Way halting operates at a single cache level, by halting the parallel access to all the irrelevant
ways once a hit and its location are determined in the tag compare. Since tag compare needs
to happen very fast for this technique to be successful the authors resort to a partial tag match.
Only a few low-order bits of the tag are checked in a CAM structure called halting tag array .
The CAM structure combines tag access and tag compare in one step (without even needing to
decode the index). This makes it fast enough for its outcome to gate the tag and data wordlines
driven by the index decoder (Figure 4.31). The access to ways that do not contain the desired
data is thus halted by not driving their respective wordlines. Zhang et al. report that way halting
can reduce energy in a 4-way cache from 45% to 60% with only a slight area overhead (2%) and
without any performance penalty [ 168 ].
Finally, Decaying Bloom filters combine a leakage reduction technique (Cache Decay)
with a way prediction structure based on Bloom filters [ 30 ]. The main intuition for this proposal
is that in a decaying cache, dead lines need not be searched. Thus, rather than trying to predict
which cache way holds a specific line, the Decaying Bloom filters indicate for each cache
way whether the line could be live in it. All the ways that can possibly contain the live line
are accessed. In contrast to way-prediction which only accesses a single predicted way, this
technique may access more than one way but it cannot be wrong: the line is either in the selected
ways or it is a miss. An additional benefit of using Decay is that Decaying Bloom filters track
only the live lines in the ways—dead lines are automatically purged. This considerably increases
the accuracy of the information in the Bloom filters. In contrast to way prediction that fumbles
on misses as explained above, way selection in general does much better: a miss is detected a lot
Search WWH ::




Custom Search