Information Technology Reference
In-Depth Information
4Conluon
In this paper, we have presented the design and architectural details of a novel
re-route based global routing, CSaCB. The technique based on two phases, viz.
Congestion sensing path laying and congestion reduction, using net segment
detouring procedure. While the first phase takes care of keeping congestion in
control upto a certain extent, the second further reduces the congestion level
and also keeps the wire length to a minimum value.
References
1. Ispd 1998 benchmark suite (1998),
http://cseweb.ucsd.edu/ ~ kastner/research/labyrinth/
2. Ispd 2007 benchmark suite (2007), http://archive.sigda.org/ispd2007/
3. Ispd 2008 benchmark suite (2008), http://archive.sigda.org/ispd2008/
4. Lee, C.Y.: An algorithm for path connections and its applications. IRE Transac-
tions on Electronic Computers EC-10(3), 346-365 (1961)
5. Alpert, C.J., Hrkic, M., Hu, J., Kahng, A.B., Lillis, J., Liu, B., Quay, S.T.,
Sapatnekar, S.S., Sullivan, A.J., Villarrubia, P.: Buffered steiner trees for di -
cult instances. In: Proceedings of the 2001 International Symposium on Physical
Design, ISPD 2001, pp. 4-9. ACM, New York (2001)
6. Chiang, C., Wong, C., Sarrafzadeh, M.: A weighted steiner tree-based global
router with simultaneous length and density minimization. IEEE Transactions on
Computer-Aided Design of Integrated Circuits and Systems 13(12), 1461-1469
(1994)
7. Areibi, S., Xie, M., Vannelli, A.: An ecient rectilinear steiner tree algorithm for
vlsi global routing. In: Canadian Conference on Electrical and Computer Engineer-
ing, vol. 2, pp. 1067-1072 (2001)
8. Cong, J., Kahng, A., Leung, K.S.: Ecient algorithms for the minimum shortest
path steiner arborescence problem with applications to vlsi physical design. IEEE
Transactions on Computer-Aided Design of Integrated Circuits and Systems 17(1),
24-39 (1998)
9. Cong, J., Madden, P.: Performance-driven routing with multiple sources. IEEE
Transactions on Computer-Aided Design of Integrated Circuits and Systems 16(4),
410-419 (1997)
10. Hu, J., Sapatnekar, S.: A timing-constrained simultaneous global routing algo-
rithm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and
Systems 21(9), 1025-1036 (2002)
11. Kastner, R., Bozorgzadeh, E., Sarrafzadeh, M.: An exact algorithm for coupling-
free routing (2001)
12. Sherwani, N.A.: Algorithms for VLSI Physical Design Automation. Kluwer Aca-
demic Publishers, Netherlands (1999)
13. Chang, Y.J., Lee, Y.T., Wang, T.C.: Nthu-route 2.0: A fast and stable global
router. In: IEEE/ACM International Conference on Computer-Aided Design,
ICCAD 2008, pp. 338-343 (November 2008)
14. Hsu, C.H., Chen, H.Y., Chang, Y.W.: Multilayer global routing with via and wire
capacity considerations. IEEE Transactions on Computer-Aided Design of Inte-
grated Circuits and Systems 29(5), 685-696 (2010)
Search WWH ::




Custom Search