Information Technology Reference
In-Depth Information
11.4.7 PCI signals and AGP
AGP supports most of the PCI signals. IDSEL, LOCK , INTC and INTD are not supported
on the AGP connector, whereas, FRAME , IDSEL, STOP and DEVSEL are used in FW
transactions, but not in AGP pipelined operations.
FRAM E
Used for FW transactions, but not for AGP pipelined transaction.
IRDY
Used by the AGP master to indicate that it is ready to provide all write data
for the current transaction. When the master asserts it, then, it cannot insert
any wait states either when reading or writing blocks of data (but it can in-
between blocks). In FW transactions, the core logic sets the line to indicate
that there is write data on the bus. The core logic cannot insert wait states
with data blocks.
TRDY
Used by an AGP target to indicate that it is ready to provide read data for
the entire transaction or is ready to transfer a block of data when the trans-
fer/transaction requires more than four clocks to complete the operation. In
FW transactions, the AGP master uses it to indicate when it is willing to
transfer a subsequent block.
STOP
Used in FW transactions to signal a device disconnection.
DEVSEL
Used in FW transactions to signal that a transaction cannot complete during
the block.
IDSEL
Not used in the AGP connector, and generated internally in the graphics
device.
PERR
Not used in the AGP transaction.
SERR
As PCI bus.
REQ
Used to request access to the bus to initiate an AGP request.
GNT
Same meaning as PCI (but extra information is added by ST[2:0]).
RST
As PCI bus.
AD[31:00 ]
As PCI bus.
AGP command information (see Section 11.5).
C/BE[3
:
0]
PAR
Not valid during an AGP transaction.
LOCK
Not supported on the AGP interface.
INTA , INTB
As PCI bus.
INTC , INTD
Not supported on the AGP connector.
11.5 AGP master configuration
The AGP master is configured in the same way as a device on the PCI bus, which requires
that it responds to a PCI configuration transaction. This occurs when:
A configuration command is decoded.
AD01 and AD00 are '00'.
Search WWH ::




Custom Search