Hardware Reference
In-Depth Information
1_234 , - 345
• Binär-, Oktal- und Hexadezimalzahlen werden als in Nummernzeichen ein-
gerahmte Ziffernfolge mit vorangestellter Basis dargestellt. Beispiele:
1010 2 7! 2#1010# , 725 8 7! 8#725# , 3F 16 7! 16#3f#
• Reellwertige Zahlenangaben enthalten zur Unterscheidung von ganzzah-
ligen Konstanten immer einen Dezimalpunkt. In der Exponentialschreib-
weise folgt hinter der Ziffernfolge mit »Punkt« ein »E«, gefolgt von der
Angabe der Zehnerpotenz. Beispiele:
1 7! 1.0 , 2;76 10 4 7! 2.76E+4
Bitvektorkonstanten können als Zeichenfolgen aus »0«, »1« [,»U«, »X« etc.]
dargestellt werden. Darüber hinaus gibt es folgende Spezialschreibweisen:
• Für Binärzahlen kann der Zeichenkette ein »b« vorangestellt werden. Dann
ist innerhalb der Ziffernfolge zusätzlich der Unterstrich zur Trennung von
Zifferngruppen erlaubt. Beispiel:
b"0100_1100"
• Zur Oktaldarstellung wird das Zeichen »o« vorangestellt. Die nachfolgen-
de Zeichenfolge darf Oktalziffern und den Unterstrich zur Trennung von
Zifferngruppen enthalten. Beispiel:
125 8 7! o"125" (identisch mit b"001_010_101" )
• Zur Hexadezimaldarstellung wird der Ziffernfolge das Zeichen »x« voran-
gestellt. Beispiel:
7E 16 ! x"7E" (identisch mit b"0111_1110" ).
Bis zur Standardrevision VHDL-2008 entspricht eine Oktalziffer genau drei
und eine Hexadezimalziffer genau vier Binärziffern. Ab VHDL-2008 werden
überflüssige führende Nullen des zugehörigen Binärwertes ignoriert. Die Kon-
stante x"7E" darf dann z.B. auch an einen Bitvektor der Länge sieben zuge-
wiesen werden.
A.1.6 Vordefinierte Attribute
• Signalattribute (vgl. Abschnitt 1.4.2)
Attribut
Ergebnistyp
Ergebnis
s 'event boolean true, wenn der Prozess durch eine Änderung
von s geweckt wurde
s'stable(t) boolean true, wenn seit einer Zeit t keine
Signaländerung stattgefunden hat
s 'last_event delay_length Zeit seit der letzten Änderung von s
s 'last_value tTyp
Wert vor der letzten Änderung von s
s 'delayed( t )
tTyp
das um t verzögerte Signal zu s
:::
:::
s - Signal mit einem beliebigen Typ tTyp; t - Ausdruck vom Typ delay_length.
 
Search WWH ::




Custom Search