Hardware Reference
In-Depth Information
nach fünf weiteren Gatterverzögerungen wieder einen Anstieg der Ausgabe-
spannung zur Folge hat. Nach der Einschwingzeit sind an allen Inverterein-
gängen und -ausgängen zeitversetzte periodische Schwingungen zu beobachten
(Abb. 4.30c). Zur Beendigung der Simulation nach einer definierten Zeit wird
der Simulator mit einer Abbruchzeit aufgerufen:
ghdl -r Ringinverter --wave=Ringinverter.ghw --stop-time=500ps
entity Ringinverter is end entity ;
architecture PhySim of Ringinverter is
signal x0,x1,x2,x3,x4: tSpannung;
begin
G1: entity work.Inverter(PhySim)
generic map (u_init=> 1.0 ) port map (x0, x1);
G2: entity work.Inverter(PhySim)
port map (x1, x2);
G3: entity work.Inverter(PhySim)
port map (x2, x3);
G4: entity work.Inverter(PhySim)
port map (x3, x4);
G5: entity work.Inverter(PhySim)
port map (x4, x0);
end architecture ;
G1G2G3G4G5
x 0 x 1 x 2 x 3 x 4
a)
x 0
x 1
x 2
x 3
x 4
0 300
tinps
b)
c)
Web-Projekt:P4.2/Ringinverter.vhdl
Abb. 4.30. Zeitdiskrete Simulation des gesamten Ringinverters a) Schaltung b)
Strukturbeschreibung c) Simulationsergebnis
4.2.2 Verzögerungsparameter
Eine ereignisgesteuerte Simulation benötigt Zeitparameter, die aus dem elek-
trischen Verhalten der Logikschaltungen zu bestimmen sind. Das Lernziel in
diesem Abschnitt ist, eine Vorstellung zu vermitteln, wie heuristische Modelle
zur Berechnung von Zeitparametern aussehen und konstruiert werden. Aus-
gangspunkt ist das Simulationsergebnis für den Ringinverter aus dem Beispiel
zuvor. Das entwickelte Modell dient anschließend auch wieder als Beispiel für
weitere Untersuchungen.
Abbildung 4.31 zeigt die Spannungsverläufe am Ein- und am Ausgang des
untersuchten Inverters aus dem vorherigen Abschnitt. Im grau unterlegten Be-
reich ist der Signalwert ungültig, darunter »0« und darüber »1«. An diesem
Zeitverlauf lassen sich mehrere Arten von Zeitparametern definieren: Richt-
werte, Verzögerungszeiten, Haltezeiten und Umschaltzeiten. Der Richtwert für
die Verzögerung ist die Zeit zwischen einer 50%-igen Eingabeänderung und ei-
ner 50%-igen Ausgabeänderung. Die Haltezeit ist die Zeit, die der alte gültige
Search WWH ::




Custom Search