Hardware Reference
In-Depth Information
12) zur Simulationszeit »@2ms« ausgegeben. Die letzte Warteanweisung (Zeile
13) legt den Prozess dauerhaft schlafen und beendet die Simulation.
1: use work.HalloWelt_pack.all;
2: entity HalloWelt1 is
3: end entity;
4: architecture Verhalten of HalloWelt1 is
5: begin
6: process
7: begin
8: report "Hallo Welt" ;
9: wait for 1 ms ;
10: report c;
1 1: wait for 1 ms ;
12: report SchreibeText( "Hallo Funktionsaufruf" );
13: wait;
14: end process;
15: end architecture;
)
WEB-Projekt:P1.1/HalloWelt1.vhdl
Abb. 1.12. Nutzung des Packages
Zur Ausführung werden zuerst das Package und dann die Entwurfseinheit
analysiert. Dann wird aus beiden ein ausführbares Programm gebaut und
dieses gestartet:
ghdl -a HalloWeltPack.vhdl
ghdl -a HalloWelt1.vhdl
ghdl -m HalloWelt1
ghdl -r HalloWelt1
Der Aufruf mit Option »m« bedeutet »make«. Er baut das ausführbare Simu-
lationsobjekt neu zusammen und aktualisiert, falls die Quelldateien geändert
wurden, vorher automatisch die Objektdateien in den Bibliotheken. Die Da-
teien mit den VHDL-Beschreibungen und ein Hilfetext dazu stehen im Web
unter [27].
1.1.5 Zusammenfassung und Übungsaufgaben
Der Entwurf digitaler Schaltungen gleicht heute in vielerlei Hinsicht ei-
nem Software-Entwurf. Er erfolgt rechnergestützt und in einer Hochspra-
che. Die Beschreibungen sind hierarchisch strukturiert. Die Routinearbeit
erledigt der Rechner. Der manuelle Entwurfsaufwand entfällt überwiegend
auf den Test und die Fehlersuche. Mit den Millionen von Gattern der ferti-
gen Schaltung beschäftigt sich ein Hardware-Entwickler genauso wenig wie
ein Software-Entwickler mit den Millionen von Maschinenbefehlen seines fer-
tigen Programms. Es gibt aber auch Unterschiede. Der Hardware-Entwurf
Search WWH ::




Custom Search