Hardware Reference
In-Depth Information
Das Simulationsmodell des Prioritäts-Encoders besteht in seinem Kern nur
noch aus einer nebenläufigen Signalzuweisung, die nach jeder Eingabeände-
rung nach der Haltezeit dem Ausgabesignal den Wert »ungültig« und nach
der Verzögerungszeit den neuen gültigen Funktionswert zuweist:
constant th:delay_length:= 1 ns
constant td:delay_length:= 3 ns
signal x:std_logic_vector( 9 downto 0 );
signal y: tPEncOut;
...
y <= XX_PEncOut after th, PrioEnc(x) after td ;
Im Synthesemodell entfallen die grau unterlegten Teile, die das Zeitverhalten
und die Berechnung des Gültigkeitsfensters beschreiben (vgl. Abschnitt 2.1.2).
Anwendung auf Register-Transfer-Beschreibungen
Eine Register-Transfer-Beschreibung beschreibt eine sequenzielle Schaltung
als ein System aus Verarbeitungsfunktionen und Abtastregistern (vgl. Ab-
schnitt 1.4.4). Auch hier sei empfohlen, die kombinatorischen Verarbeitungs-
funktionen in Packages auszulagern und vor ihrer Einbindung in das über-
geordnete Modell gründlich zu testen. Für die kombinatorischen Funktionen
sind wieder Ausgabetypen und für diese die erforderlichen Hilfsfunktionen
etc. zu entwickeln. In der übergeordneten Beschreibung werden dann die
Eingabe- und die Register-Transfer-Funktionen der sequenziellen Schaltung
durch Funktionsaufrufe in einem Abtastprozess und die Ausgabefunktionen
durch Funktionsaufrufe in nebenläufigen Signalzuweisungen oder kombinato-
rischen Prozessen beschrieben.
Abbildung 3.18 zeigt eine Beispielschaltung mit einer Eingabefunktion
»f1(...)«, einer Register-Transfer-Funktion »f2(...)« und einer Ausgabefunk-
tion »f3(...)«. Die im Package vereinbarten Typen der Ausgabesignale dieser
Verarbeitungsfunktionen können Bit-, Bitvektor-, Zahlen-, Aufzählungs- und
daraus zusammengesetzte Typen sein. Wichtig ist, dass die Typvereinbarun-
gen alle Teilergebnisse, die von derselben Verarbeitungsfunktion berechnet
werden, zu einem Datenobjekt zusammenfassen. Dann folgen die Funktions-
definitionen für die Nachbildung der kombinatorischen Funktionen. Die Defi-
nitionen der Hilfsfunktionen für die neuen Typen (Str-Funktion etc.) und der
Package-Körper mit den Beschreibungen der Unterprogramme - in Abb. 3.18
aus Platzgründen nicht dargestellt - dürfen natürlich nicht fehlen.
Die eigentliche Schaltungsbeschreibung besteht nur noch aus einem Ab-
tastprozess und einer nebenläufigen Signalzuweisung. Der Funktionsaufruf von
»f1(...)« im Abtastprozess und die Zuweisung des Funktionswertes an das Si-
gnalz 1 beschreibt die Eingabefunktion mit dem nachfolgenden Eingabere-
gister, die Zuweisung des Funktionswertes von »f2(...)« an das Signalz 2 die
Search WWH ::




Custom Search