Hardware Reference
In-Depth Information
y 0
x
000000010010001101000101
0110011110001001
1010..1111
y 5
y 6
y 1
beliebig
y
y 4
y 2
a)
y 3
x 2
x 2
y i =0 y i =1
y 0 y 1
1
0
1
1
1
1
1
1 1
1
-
-
-
-
-
-
-
-
b
0
1
1
1
0
signal x:std_logic_vector( 3 downto 0 );
signal y:std_logic_vector( 6 downto 0 );
...
process (x)
variable a,b,c,d,e,f,g,h,i,j:std_logic;
begin
a := not x(3) and not x(2) and not x(1) and x(0);
b := x(2) and not x(1) and not x(0);
c := x(2) and not x(1) and x(0);
d := x(2) and x(1) and not x(0);
e := not x(2) and x(1) and not x(0);
...
y( 0 ) <= not (a or b);
y( 1 ) <= not (c or d);
y( 2 ) <= not e;
...
end process ;
x 0
a
c
1
11
-
-
1
-
-
x 1
0
d
y 2
x 3
1
1
11
1 1
1
-
-
-
-
1
x 0
-
-
x 1
0
1
e
y 3 y 4
1
0
1
1
1
0
0
0 0
0
1
-
-
-
-
-
-
-
-
b
b
0
1
x 0
a
1
0
-
- 1
0
-
-
g
f
x 1
1
1
1
y 5
y 6
11
1
1
0
0
1 1
1
-
-
-
-
-
-
-
-
0
1
1
x 0
a
j
00
-
-
1
11
0
-
-
i
f
x 1
0
1
h
c)
b)
x 3 x 3
Web-Projekt:P2.2/Seg7Dec.vhdl
Abb. 2.43. 7-Segment-Decoder a) Funktion b) KV-Diagramme c) VHDL-
Beschreibung mit logischen Ausdrücken
und optionalen Registern für die Eingabe- und Ausgabeabtastung (vgl. Ab-
schnitt 1.6.2). Der Entwurf startet in der Regel mit einer Aufstellung des
Zustandsgraphen, aus dem dann über den Schritt der Zustandscodierung die
Übergangs- und die Ausgabefunktion abgeleitet werden. Die Register sind vor-
entworfene Schaltungen. Die kombinatorischen Funktionen wurden früher, als
die Logikoptimierung noch Handarbeit war, mit KV-Diagrammen minimiert.
Als Beispiel soll der Entwurf des Vorwärts-Rückwärts-Zählers aus Ab-
schnitt 1.6.1 zu Ende geführt werden. Abbildung 2.44 a zeigt den Zustands-
graphen. Bei der symbolischen Eingabe »V« werden die Zustände »A«, »B«,
»C« und »D« zyklisch in dieser Reihenfolge durchlaufen. Bei der Eingabe »H«
bleibt der Zähler stehen und bei der Eingabe »R« durchläuft er die Zustän-
de rückwärts. Sowohl die Unterscheidung der drei Eingabewerte als auch die
der vier Zustände und der vier Ausgabewerte erfordert zwei Bit. Für die Co-
dierung in Bitvektorwerte ist jeweils die aufsteigende Zählreihenfolge gewählt
(Abb. 2.44 b). Abbildung 2.44c zeigt die Übergangs- und die Ausgabefunktion
in tabellarischer Form sowohl mit Symbolen als auch mit den zugeordneten
Bitvektorwerten.
 
Search WWH ::




Custom Search