Hardware Reference
In-Depth Information
tools. The MULTICUBE project focused on the definition of an automatic multi-
objective Design Space Exploration (DSE) framework to be used to tune the System-
on-Chip architecture for the target application evaluating a set of metrics (e.g. energy,
latency, throughput, bandwidth, QoS, etc.) for the next generation of embedded
multimedia platforms.
On one side, the MULTICUBE project defined an automatic multi-objective DSE
framework to find design trade-offs that best meet system constraints and cost cri-
teria, strongly dependent on the target application, but also to restrict the search
space to crucial parameters to enable an efficient exploration. In the developed DSE
framework, a set of heuristic optimisation algorithms have been defined to reduce the
overall exploration time by computing an approximated Pareto set of configurations
with respect to the selected figures of merit. Once the approximated Pareto set has
been built, the designer can quickly select the best system configuration satisfying
the target constraints.
On the other side, the MULTICUBE project defined a run-time DSE framework
based on the results of the design-time exploration to optimise at run-time the alloca-
tion and scheduling of different application tasks. The design-time exploration flow
results in a Pareto-optimal set of design trade-offs with different speed, energy, mem-
ory and communication bandwidth parameters. This information is used at run-time
by a small OS kernel to make an informed decision about how the resources should
be distributed over different tasks running on the multi-processor system on-chip.
This resource distribution cannot be done during the design-time exploration itself,
since it depends on which tasks are active at that time.
The goal of MULTICUBE design flow is to cover the gap between the system-
level specification and the definition of the optimal application-specific architecture.
The MULTICUBE activities have been driven by targeting the construction of a set
of tools and accurate methodologies to support the design of application specific
multi-core architectures.
In this context, a SystemC-based multi-level modeling methodology for multi-
processors has been developed in the project. Once received the target architecture as
input, the system model is provided to the simulator to evaluate different architectural
trade-offs in terms of metrics. Then, the Design Space Exploration framework can
be used to evaluate candidate configurations based on several heuristic optimisation
algorithms. This step is implemented as an optimisation loop, where the selected ar-
chitecture instance generated by the DSE framework is given back to the estimation
framework for the metrics evaluation. The tool integration phase in MULTICUBE
enabled to implement an automatic system optimisation engine to generate, for the
target MPSoC architecture, either the best architectural alternative (if the exploration
is done at design-time) or the best tasks scheduling and allocation (if the exploration
is done at run-time).
To enable a widespread dissemination and use of the design flow in several ap-
plication contexts, the following pre-requirements are introduced. First, the design
flow aims at being independent from the particular language used for the description
of the use case simulator. The design flow and the associated design tools should
free the simulator provider from being tied to a specific programming language or
Search WWH ::




Custom Search