Hardware Reference
In-Depth Information
8.4
Conclusions
This chapter presented two significant applications of the MULTICUBE design space
exploration framework. While in the first part has been presented the design space
exploration of a low power processor developed by STMicroelectronics by using the
modeFRONTIER tool, the second part has described the application of RSM models
developed within MULTICUBE to a tiled, multiple-instruction, many-core architec-
ture developed by ICT China. The presented analysis refers to a direct application
of the methodology implemented in the project to real case studies.
References
1. Brooks, D., Tiwari, V., Martonosi, M.: Wattch: a framework for architectural-level power anal-
ysis and optimizations. In: ISCA '00: Proceedings of the 27th annual international symposium
on Computer architecture, pp. 83-94. ACM, New York, NY, USA (2000)
2. ESTECO: modeFRONTIER, Multi-Objective Optimization and Design Environment Software.
http://www.esteco.com
3. Kohonen, T.: Self-organized formation of topologically correct feature maps.
Biological
Cybernetics 43 (1), 59-69 (1982)
4. McKay M. D., C.W.J., J., B.R.: Latin hypercube sampling: A comparison of three methods for
selection values of input variables in the analysis of output from a computer code. Technometrics
22 (2), 239-245 (1979)
5. MIPS
Technologies:
Architecture
Programming
Publications
for
MIPS32.
http://www.mips.com/products/product-materials/processor/mips-architecture
6. MULTICUBE: Final Prototype of the Open-Source MULTICUBE Exploration Framework.
Deliverable 3.1.2 (2009)
7. Turco, A., Kavka, C.: MFGA: a GA for complex real-world optimisation problems. International
Journal of Innovative Computing and Applications 3 (1), 31-41 (2011)
8. Standard Performance Evaluation Corporation:
SPEC CPU 2000.
http://www.spec.org/
cpu2000
Search WWH ::




Custom Search