Hardware Reference
In-Depth Information
44. Edmondson JH et al (1995) Superscalar instruction execution in the 21164 alpha microprocessor.
IEEE Micro 15(2):33-43
45. Gronowski PE et al (1998) High-performance microprocessor design. IEEE J Solid-State
Circuit 33(5):676-686
46. Yeager KC (1996) The MIPS R10000 superscalar microprocessor. IEEE Micro 16(2):28-40
47. Golden M et al (1999) A seventh-generation x86 microprocessor. IEEE J Solid-State Circuit
34(11):1466-1477
48. Hinton G, et al (2001) A 0.18- mm CMOS IA-32 processor with a 4-GHz integer execution
unit. IEEE J Solid-State Circuit 36:11
49. Weicker RP (1988) Dhrystone benchmark: rationale for version 2 and measurement rules.
ACM SIGPLAN Notices 23(8):49-62
50. Kodama T, et al (2006) Flexible engine: a dynamic reconfigurable accelerator with high
performance and low power consumption, In: Proc of the IEEE Symposium on Low-Power
and High-Speed Chips (COOL Chips IX)
51. Motomura M (2002) A dynamically recon fi gurable processor architecture. Microprocessor
Forum 2002, Session 4-2
52. Fujii T, et al (1999) A dynamically reconfigurable logic engine with a multi-Context/multi-mode
unified-cell architecture. Proc Intl Solid-State Circuits Conf, pp 360-361
53. Cooley JW, Tukey JW (1965) An algorithm for the machine calculation of complex Fourier
series. Mathemat Comput, 19
54. Pease MC (1968) An adaptation of the fast Fourier transform for parallel processing. J ACM,
15(2)
55. Noda H et al (2007) The design and implementation of the massively parallel processor based
on the matrix architecture. IEEE J Solid-State Circuits 42(1):183-192
56. Noda H et al (2007) The circuits and robust design methodology of the massively parallel
processor based on the matrix architecture. IEEE J Solid-State Circuits 42(4):804-812
57. Kuang JB, et al (2005) A double-precision multiplier with fine-grained clock-gating support
for a first-generation CELL processor. In: IEEE Int Solid-State Circuits Conf Dig Tech Papers,
378-379
58. Flachs B, et al (2005) A streaming processor unit for a CELL processor. IEEE Int Solid-State
Circuits Conf Dig Tech Papers 134-135
59. Kyo S et al (2003) A 51.2GOPS scalable video recognition processor for intelligent cruise
control based on a linear array of 128 four-way VLIW processing elements. IEEE J Solid-State
Circuits 38(11):1992-2000
60. Hillis D (1985) The connection machine. MIT, Cambridge, MA
61. Swan RJ et al (1977) The implementation of the CM multiprocessor. Proc NCC 46:645-655
62. Amano H (1996) Parallel computers. Tokyo, Shoukoudou
63. Kurafuji T, et al (2010) A scalable massively parallel processor for real-time image processing.
IEEE Int Solid-State Circuits Conf Dig Tech Papers:334-335
64. Joint Video Team (JVT) of ISO/IEC MEPG & ITU-T VCEG, Text of International Standard
of Joint Video Specification, ITU-T Rec. H.264 | ISO/IEC 14496-10 Advanced Video Coding,
Dec. 2003
65. Richardson IEG (2003) H.264 and MPEG-4 video compression: video coding for next-generation
multimedia. Wiley, New York
66. Wiegand T et al (2003) Overview of the H.264/AVC video coding standard. IEEE Trans
Circuits Syst Video Technol 13(7):560-576
67. Shirasaki M, et al (2009) A 45 nm Single-Chip Application-and-Baseband Processor Using an
Intermittent Operation Technique. IEEE ISSCC Dig Tech Papers:156-157
68. Nomura S, et al (2008) A 9.7 mW AAC-decoding, 620 mW H.264 720p 60fps decoding,
8-core media processor with embedded forward-body-biasing and power-gating circuit in
65 nm CMOS technology. IEEE ISSCC Dig Tech Papers:262-263
69. Mair H, et al (2007) A 65-nm mobile multimedia applications processor with an adaptive
power management scheme to compensate for variations. Dig Symp VLSI Circuits:224-225
70. Chien CD et al (2007) A 252kgate/7lmW multi-standard multi-channel video decoder for high
definition video applications. IEEE ISSCC Dig Tech Papers:282-283
Search WWH ::




Custom Search