Hardware Reference
In-Depth Information
Di C, Jess JAG (1993) On accurate modelling and efficient simulation of CMOS opens. Interna-
tional test conference, pp 875-882
Fan X, Moore W, Hora C, Gronthoud G (2005) A novel Stuck-At Based method for transistor
Stuck-Open Fault diagnosis. International Test Conference, paper 16.1
Favalli M, Dalpasso M, Olivo P (Jul 1996) Modeling and simulation of broken connections in
CMOS ICs. IEEE Trans Comput-Aided Des Integr Circuits Sys 15(7):808-814
Hawkins CF, Soden JM, Righter AW, Ferguson FJ (1994) Defect classes-an overdue paradigm for
CMOS IC testing. International test conference, pp 413-425
Henderson CL, Soden JM, Hawkins CF (1991) The behavior and testing implications of CMOS
IC open circuits. International test conference, pp 302-310
Huang SY (2002) Diagnosis of byzantine open-segment faults. Asian test symposium, pp 248-253
Ivanov A, Rafiq S, Renovell M, Azaıs F, Bertrand Y (Jan 2001) On the detectability of CMOS
floating gate transistor faults. IEEE Trans Comput-Aided Des Integr Circuits Sys 20(1)
James C-ML, McCluskey EJ (Nov 2005) Diagnosis of resistive and stuck-open defects in digital
CMOS ICs. IEEE Trans Comput-Aided Des Integr Circuits Sys 24(11):1748-1759
Johnson S (1994) Residual charge on the faulty floating gate CMOS transistor. International test
conference, pp 555-561
Kawahara R, Nakayama O, Kurasawa T (1996) The effectiveness of I DDQ and high voltage stress
for burn-in elimination. International workshop on I DDQ testing, pp 9-13
Konuk H (1997) Fault simulation of interconnect opens in digital CMOS circuits. International
conference on computer-aided design, pp 548-554
Konuk H, Ferguson FJ (Nov 1998) Oscillation and sequential behavior caused by opens in
the routing in digital CMOS circuits. IEEE Trans Comput-Aided Des Integr Circuits Sys
17(11):1200-1210
Kruseman B, Heiligers M (2006) On test conditions for the detection of open defects. Design,
automation and test in Europe, pp 896-901
Li JC-M, Tseng C-W, McCluskey EJ (2001) Testing for resistive opens and stuck opens. Interna-
tional test conference, pp 1049-1058
Li JC-M, McCluskey EJ (2002) Diagnosis of sequence-dependent chips. VLSI test symposium,
pp 187-202
Liu JB, Veneris A, Takahashi H (2002) Incremental diagnosis of multiple open-interconnects. In-
ternational test conference, pp 1085-1092
Liu C, Zou W, Reddy SM, Cheng W-T, Sharma M, Tang H (2007) Interconnect open defect diag-
nosis with minimal physical information. International test conference, pp 21-26
Maly W, Nag PK, Nigh P (1991) Testing oriented analysis of CMOS ICs with opens. International
test conference, pp 302-310
Moore W, Gronthoud G, Baker K, Lousberg M (2000) Delay-fault testing and defects in deep
sub-micron ICs - does critical resistance really mean anything? International test conference,
pp 95-104
Needham W, Prunty C, Yeoh EH (1998) High volume microprocessor test escapes, an analysis of
defects our tests are missing. International test conference, pp 25-34
Nigh P, Gattiker A (2004) Random and systematic defect analysis using I DDQ signature analysis
for understanding fails and guiding test decisions. International test conference, pp 309-318
Renovell M, Cambon G (Jan 1986) Topology dependence of floating gate faults in MOS circuits.
Electron Lett 22(3):152-153
Renovell M, Cambon G (1992) Electrical analysis and modeling of floating-gate fault. IEEE Trans
Comput-Aided Des Integr Circuits Sys 11(11):1450-1458
Renovell M, Comte M, Polian I, Engelke P, Becker B (2006) Analyzing the memory effect of
resistive open in CMOS random logic. Design and test of integrated systems in nanoscale tech-
nology, pp 251-256
Rodrıguez-Monta nes R, Volf P, Pineda de Gyvez J (2002) Resistance characterization for weak
open defects. IEEE Des Test Comput 19(5):18-26
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Search WWH ::




Custom Search