Hardware Reference
In-Depth Information
The method can be used for implementing an effect-cause analysis and allows
identifying faults sites under all technology dependent fault models like delay faults,
opens, bridges or even more complex functional faults.
References
Abramovici M, Breuer MA (1980)
Fault diagnosis based on effect-cause
analysis: an in-
troduction. In Proceedings
17th design automation conference
(DAC) 1980, pp 69-76,
doi:10.1145/800139.804514
Amyeen ME, Nayak D, Venkataraman S (Oct 2006) Improving precision using mixed-level
fault diagnosis. In Proceedings 37th IEEE international test conference (ITC) 2006, pp 22.3,
doi:10.1109/TEST.2006.297661
Arnaout T, Bartsch G, Wunderlich H-J (Jan 2006) Some common aspects of design validation,
debug and diagnosis. In 3rd IEEE international workshop on electronic design, test and appli-
cations (DELTA) 2006, pp 3-10, doi:10.1109/DELTA.2006.79
Bartenstein T (2000) Fault distinguishing pattern generation. In Proceedings 31st IEEE interna-
tional test conference (ITC) 2000, pp 820-828, doi:10.1109/ TEST.2000.894285
Bartenstein T, Heaberlin D, Huisman LM, Sliwinski D (2001) Diagnosing combinational logic
designs using the single location at-a-time (SLAT) paradigm. In Proceedings 32nd IEEE inter-
national test conference (ITC) 2001, pp 287-296, doi:10.1109/TEST.2001.966644
Bhatti NK, Blanton RD (Oct 2006) Diagnostic test generation for arbitrary faults. In Proceedings
37th IEEE international test conference (ITC) 2006, pp 19.2, doi:10.1109/TEST.2006.297647
Blanton RD, Dwarakanath KN, Desineni R (2006) Defect modeling using fault tuples. IEEE Trans
CAD Integrat Circuits Sys 25(11):2450-2464, doi:10.1109/TCAD.2006.870836
Boppana V, Hartanto I, Fuchs WK (1996) Full fault dictionary storage based on labeled
tree encoding. In Proceedings 14th IEEE VLSI test symposium (VTS) 1996, pp 174-179,
doi:10.1109/VTEST.1996.510854
Chen KC (2003) Assertion-based verification for SoC designs. In Proceedings 5th International
conference on ASIC 1:12-15
Chen G, Reddy SM, Pomeranz I, Rajski J (2006) A test pattern ordering algorithm for diagno-
sis with truncated fail data. In Proceedings 43rd design automation conference (DAC) 2006,
pp 399-404, doi:10.1145/1146909.1147015
Chess B, Larrabee T (Mar 1999) Creating small fault dictionaries. IEEE Trans Comput-Aided Des
Integrat Circuits Sys 18(3):346-356, doi:10.1109/43.748164
Desineni R, Poku O, Blanton RD (Oct 2006) A logic diagnosis methodology for improved local-
ization and extraction of accurate defect behavior. In Proceedings 37th IEEE international test
conference (ITC) 2006, pp 12.3, doi:10.1109/TEST.2006.297627
Flottes M-L, Landrault C, Pravossoudovitch S (1991) Fault modeling and fault equivalence in
CMOS technology. J Electron Test, vol 2, no 3, pp 229-241, doi:10.1007/BF00135440
Gong Y, Chakravarty S (1995) On adaptive diagnostic test generation. In Proceedings IEEE in-
ternational conference on computer-aided design (ICCAD) 1995, p 181, doi:10.1109/ICCAD.
1995.480010
Henderson CL, Soden JM (1997) Signature analysis for IC diagnosis and failure analysis.
In
Proceedings
28th
IEEE
international
test
conference
(ITC)
1997,
pp
310-318,
doi:10.1109/TEST.1997.639632
Holst S, Wunderlich H-J (May 2007) Adaptive debug and diagnosis without fault dictionaries. In
Proceedings 12th European test symposium (ETS) 2007, pp 7-12, doi:10.1109/ETS.2007.9
Holst S, Wunderlich H-J (2009) Adaptive debug and diagnosis without fault dictionaries. In
J Electron Test, vol 25, no 4-5, pp 259-268, doi:10.1007/s10836-009-5109-3
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Search WWH ::




Custom Search