Hardware Reference
In-Depth Information
Gharaybeh MA, Bushnell ML, Agrawal VD (Apr 1998) The path-status graph with applications to
delay fault simulation. IEEE Trans Comput-Aided Des Integrat Circuits Sys 17:324-332
Guo R, Venkataraman S (Sep 2006) An algorithmic technique for diagnosis of faulty scan chains.
IEEE Trans Comput-Aided Des Integrat Circuits Sys 25:1861-1868
Heragu K, Patel JH, Agrawal VD (Jan 1996) Segment delay faults: a new fault model. Proceedings
of international conference on VLSI design conference, pp 32-39
Iyengar VS, Rosen BK, Waicukauski JA (Mar 1990) On computing the sizes of detected delay
faults. IEEE Trans Comput-Aided Des Integrat Circuits Sys 9:299-312
Iyengar VS, Vijayan G (Nov 1992) Optimized test application timing for AC testing. IEEE Trans
Comput-Aided Des Integrat Circuits Sys 11:1439-1449
Jha NK, Gupta S (2003) Testing of digital systems. Cambridge University Press
Kagaris D, Tragoudas S (Sep 2002) On the nonenmerative path delay fault simulation problem.
IEEE Trans Comput-Aided Des Integrat Circuits Sys 21:1095-1101
Kajihara S, Kinoshita K, Pomeranz I, Reddy SM (Jan 1997) A method for identifying robust depen-
dent and functionally unsensitizable paths. Proceedings of international conference on VLSI
design conference, pp 82-87
Kajihara S, Shimono T, Pomeranz I, Reddy SM (Dec 2000) Enhanced untestable path analysis
using edge graphs. Proceedings of Asian test symposium, pp 139-144
Ke W, Menon PR (Feb 1995) Synthesis of delay-verifiable combinational circuits. IEEE Trans
Comput 44:213-222
Konuk H (Oct 2000) On invalidation mechanisms for non-robust delay tests. Proceedings of inter-
national test conference, pp 393-399
Krstic A, Cheng K-T (1998) Delay fault testing for VLSI circuits. Frontiers in electronic testing,
Springer
Lam WK, Saldanha A, Brayton RK, Sangiovanni-Vincentelli AL (Jun 1993) Delay fault coverage
and performance tradeoffs. Proceedings of design automation conference, pp 446-451
Lee K-J, Hsu S-J, Ho C-M (Nov 2004) Test power reduction with multiple capture orders. Pro-
ceedings of Asian test symposium, pp 26-31
Lee H, Pomeranz I, Reddy SM (Mar 2008) On complete functional broadside tests for transition
faults. IEEE Trans Comput-Aided Des Integrat Circuits Sys 27:583-587
Li WN, Reddy SM, Sahni S (Jan 1989) On path selection in combinational logic circuits. IEEE
Trans Comput-Aided Des Integrat Circuits Sys 8:56-63
Lin CJ, Reddy SM (Sep 1987) On delay fault testing in logic circuits. IEEE Trans Comput-Aided
Des Integrat Circuits Sys 6:694-703
Lin X, Pomeranz I, Reddy SM (Oct 1998) On finding undetectable and redundant faults in syn-
chronous sequential circuits. Proceedings of international conference on computer design:
VLSI in computers and processors, pp 498-503
Lin Y-C, Lu F, Yang K, Cheng K-T (Jan 2005) Constraint extraction for pseudo-functional scan-
based delay testing. Proceedings of Asia and South Pacific design automation conference,
pp 166-171
Lin X, Tsai K-H, Kassab M, Rajski J, Kobayashi T, Klingenberg R, Sato Y, Hamada S, Aikyo
T (Nov 2006) Timing-aware ATPG for high quality at-speed testing of small delay defects.
Proceedings of Asian test symposium, pp 139-146
Majhi AK, Jacob J, Patnaik LM, Agrawal VD (Jan 1996) On test coverage of path delay faults.
Proceedings of international conference on VLSI design conference, pp 418-421
Malaiya YK, Narayanaswamy R (Oct 1983) Testing for timing faults in synchronous sequential
circuits. Proceedings of international test conference, pp 560-571
Murakami A, Kajihara S, Sasao T, Pomeranz I, Reddy SM (Oct 2000) Selection of potentially
testable path delay faults for test generation. Proceedings of international test conference,
pp 376-384
Park ES, Mercer MR, Williams TW (Feb 1989) A statistical model for delay-fault testing. IEEE
Des Test Comput 6:45-55
Pomeranz I, Reddy SM (Jun 1992) At-speed delay testing of synchronous sequential circuits. Pro-
ceedings of ACM/IEEE design automation conference, pp 177-181
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Search WWH ::




Custom Search