Information Technology Reference
In-Depth Information
16. V. P. Roychowdhury, D. B. Janes, S. Bandyopadhyay, and X. Wang. Collective
computational activity in self-assembled arrays of quantum dots: a novel neuromorphic
architecture for nanoelectronics. IEEE Transactions on Electron Devices, 43: 1996.
17. R. M. P. Rad and M. Tehranipoor. A reconfiguration-based defect tolerance method
for nanoscale devices. 21st IEEE International Symposium on Defect and Fault-
Tolerance in VLSI Systems (DFT'06): pp 107-118, 2006.
18. K. Nikolic, A. Sadek, and M. Forshaw. Fault-tolerant techniques for nanocomputers.
Nanotechnology, 13(3), pp 357-362(6), 2002.
19. J. Byunghyun, Y. Kim, and F. Lombardi. Error tolerance of DNA self-assembly by
monomer concentration control. 21st IEEE International Symposium on Defect and
Fault-Tolerance in VLSI Systems (DFT'06): pp 89-97, 2006.
20. J. Han, J. Gao, Y. Qi, P. Jonker, and J. A. B. Fortes. Toward hardware-redundant,
fault-tolerant logic for nanoelectronics. IEEE Design and Test of Computers, 22(4):
pp 328-339, July 2005.
21. http://www.extremetech.com/article2/0,1558,1826021,00.asp.
22. D. P. Vasudevan, P. K. Lala, and J. P. Parkerson. Fault tolerant quantum compu-
tation with new reversible gate. Proceedings of the NSTI Nanotechnology Conference:
pp 744-747, 2005.
23. Y. Yellambalase, M. Choi, and Y. Kim. Inherited redundancy and configurability
utilization for repairing nanowire crossbars with clustered defects. Proceedings of the
21st IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems
(DFT'06): pp. 98-106, 2006.
24. B. Almohammand and B. Bose. Fault-tolerant communication algorithms in toroidal
networks. IEEE Transactions on Parallel and Distributed System, 10: pp 976-983, 1999.
25. J. Zhou and F. Lau. Adaptive fault-tolerant wormhole routing with two virtual
channels in 2D meshes. International Symposium on Parallel Architectures, Algo-
rithms and Networks: p 142, 2004.
26. G. Wang and J. Chen. A new fault-tolerant routing scheme for 2-dimensional mesh
networks. Proceedings of the Fourth International Conference on Parallel and
Distributed Computing: 2003.
27. X. Fan, W. Moore, C. Hora, M. Konijnenburg, G. Gronthoud. A gate-level method
for transistor-level bridging fault diagnosis. 24th IEEE Proceedings of VLSI Test
Symposium: 2006.
28. J. Zhou and F. Lau. Adaptive fault-tolerant wormhole routing with two virtual
channels in 2D meshes. International Symposium on Parallel Architectures, Algo-
rithms and Networks: p 142, 2004.
29. G. Wang and J. Chen. A new fault-tolerant routing scheme for 2-dimensional mesh
networks. Proceedings of the Fourth International Conference on Parallel and
Distributed Computing: 2003.
30. X. Fan, W. Moore, C. Hora, M. Konijnenburg, and G. Gronthoud. A gate-level
method for transistor-level bridging fault diagnosis. 24th IEEE Proceedings of VLSI
Test Symposium: 2006.
31. M. B. Tahoori and S. Mitra. Fault detection and diagnosis techniques for molecular
computing. NanoTech Conference: 2004.
32. R. C. Hansen editor. Significant Phased Array Papers. Norwood, MA: Artech House,
1973.
 
Search WWH ::




Custom Search