Hardware Reference
In-Depth Information
Exercise 6.3: Manchester Encoder
This exercise concerns the Manchester encoder treated in exercise 5.8.
a) Solve exercise 5.8 if not done yet.
b) Implement the Moore machine relative to part a of that exercise using VHDL.
Simulate it using the same stimuli of part b, checking if the results match.
c) Implement the Mealy machine relative to part c of that exercise using VHDL. Simu-
late it using the same stimuli of part d, checking if the results match.
Exercise 6.4: Differential Manchester Encoder
This exercise concerns the differential Manchester encoder treated in exercise 5.9.
a) Solve exercise 5.9 if not done yet.
b) Implement the FSM relative to part a of that exercise using VHDL. Simulate it using
the same waveforms of part b, checking if the results match.
Exercise 6.5: String Detector
This exercise concerns the string detector of i gure 5.14a, which detects the sequence
abc ”.
a) Solve exercise 5.12 if not done yet.
b) Implement the FSM of i gure 5.14a using VHDL. Simulate it using the same stimuli
of exercise 5.12, checking if the same results are obtained here.
Exercise 6.6: Generic String Detector
This exercise concerns the generic string detector of i gure 5.14b. Implement it using
VHDL and simulate it for the following cases:
a) To detect the sequence “ abc ”.
b) To detect the sequence “ aab ”.
c) To detect the sequence “ aaa ”.
Exercise 6.7: Keypad Encoder
This exercise concerns the keypad encoder treated in exercise 5.14. It is repeated
in i gure 6.6, with a seven-segment display (SSD—see i gure 8.13) at the output,
which must display the last key pressed (use the characters “A” and “b” for *
and #, respectively). (A deboucer is generally needed in this kind of design; see
exercise 8.9.)
a) Solve exercise 5.14 if not done yet.
b) Implement the FSM obtained above using VHDL. Instead of encoding r (3:0) accord-
ing to the table in i gure 5.23c, encode it as an SSD driver, using the table in i gure
8.13d (so key is now a 7-bit signal).
Search WWH ::




Custom Search