Hardware Reference
In-Depth Information
Butts M, Jones AM, Wasson P (2007) A structural object programming model, architecture, chip
and tools for reconfigurable computing. In: Field-Programmable Custom Computing Machines,
2007. FCCM 2007. 15th Annual IEEE Symposium on, pp 55-64
Concer N, Petracca M, Carloni L (2008) Distributed flit-buffer flow control for networks-on-chip.
In: Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software
Codesign and System Synthesis, CODES
ISSS '08, pp 215-220
Cortadella J, Kishinevsky M, Grundmann B (2006) Synthesis of Synchronous Elastic Architec-
tures. In: Proc. ACM/IEEE Design Automation Conference, pp 657-662
Dally WJ (1992) Virtual-channel flow control. IEEE Transactions on on Parallel and Distributed
Systems 3(3):194-205
Dally WJ, Aoki H (1993) Deadlock-free adaptive routing in multicomputer networks using virtual
channels. IEEE Trans Parallel Distrib Syst 4(4):466-475, DOI http://dx.doi.org/10.1109/71.
219761
Dally WJ, Seitz CL (1986) The torus routing chip. Journal of Parallel and Distributed Computing
1(3):187-196
Dally WJ, Towles B (2001) Route Packets, Not Wires: On-Chip Interconnection Networks. In:
Proc. of the 38th Design Automation Conference (DAC), URL http://citeseer.ist.psu.edu/
dally01route.html
Dally WJ, Towles B (2004) Principles and Practices of Interconnection Networks. Morgan
Kaufmann
Dally WJ, Malachowsky C, Keckler SW (2013) 21st century digital design tools. In: Proceedings
of the 50th Annual Design Automation Conference, DAC '13, pp 94:1-94:6
Dimitrakopoulos G (2010) Logic-level implementation of basic switch components. Designing
Network On-Chip Architectures in the Nanoscale Era, Jose Flich and Davide Bertozzi, Eds.,
CRC Press
Dimitrakopoulos G, Chrysos N, Galanopoulos C (2008) Fast arbiters for on-chip network switches.
In: IEEE Intern. Conf. on Computer Design (ICCD), pp 664-670
Dimitrakopoulos G, Kalligeros E, Galanopoulos K (2013) Merged switch allocation and traversal
in network-on-chip switches. IEEE Transactions on Computers 62(10):2001-2012
Duato J (1993) A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans
Parallel Distrib Syst 4(12):1320-1331
Duato J, Yalamanchili S, Ni LM (1997) Interconnection networks - an engineering approach. IEEE
Flich J, Duato J (2008) LBDR: Logic-Based Distributed Routing for NoCs. IEEE Computer
Architecture Letters 7(1):13-16
Flich J, Mejia A, Lopez P, Duato J (2007) Region-based routing: An efficient routing mechanism
to tackle unreliable hardware in networks on chip. In: Intern. Symp. on Networks on Chip
(NOCS)
Galles M (1997) Spider: A high-speed network interconnect. IEEE Micro 17(1)
Gerla M, Kleinrock L (1980) Flow control: A comparative survey. Communications, IEEE
Transactions on 28(4):553-574
Gilabert F, et al (2010) Improved utilization of noc channel bandwidth by switch replication for
cost-effective multi-processor systems-on-chip. In: NOCS, pp 165-172
Ginosar R (2011) Metastability and synchronizers: A tutorial. IEEE Design & Test of Computers
28(5):23-35
Golander A, Levison N, Heymann O, Briskman A, Wolski MJ, Robinson EF (2011) A cost-
efficient L1-L2 multicore interconnect: Performance, power, and area considerations. IEEE
Transactions on Circuits and Systems-I: Regural Papers 58(3):529-538
Grot B, Hestness J, Keckler SW, Mutlu O (2012) A QoS-Enabled On-Die Interconnect Fabric for
Kilo-Node Chips. IEEE Micro 32(3)
Gupta P, McKeown N (1999) Design and implementation of a fast crossbar scheduler. IEEE Micro
pp 20-28
Ho R, Mai K, Horowitz M (2001) The future of wires. Proc of the IEEE pp 4901-504
Hoskote Y, Vangal S, Singh A, Borkar N, Borkar S (2007) A 5-GHz mesh interconnect for a
teraflops processor. IEEE Micro 27(5):51-61, DOI http://dx.doi.org/10.1109/MM.2007.77
C
 
Search WWH ::




Custom Search