Hardware Reference
In-Depth Information
19.8. Suppose that req must remain asserted until and including ack is asserted,
how could you modify the property in Exercise 19.6 to trigger only once for a given
req - ack pair?
19.9. Can you identify other properties that may have impact on simulation
performance depending on the form of evaluation and the amount of detail provided
about the start and fail times of succeeding and failing attempts of the associated
assertion(s)?
Search WWH ::




Custom Search