Hardware Reference
In-Depth Information
16.8.
Give
a
legal
encoding
of
the
intent
of p_illegal_causality from
Sect. 16.5.1 .
16.9. Recode the example of Fig. 16.18 so that the antecedent of |-> in property
p_num_dataValid_check is replaced by an instance of a named sequence to which
method triggered is applied. In this instance, the local variables l_ttype and
num_dataValid should both be bound to output argument local variables.
Search WWH ::




Custom Search