Hardware Reference
In-Depth Information
then p must hold from the second to the fourth occurrence of b after the start of
evaluation of next_ev_a_p . If there are not enough clock ticks to cover the range
of occurrences of b , the property should evaluate false.
10.6. Modify the property from Exercise 10.5 to form property
next_ev_e_p( logic b, int m, n, property p) such that p is required to hold
at least once during the range [m:n] of occurrences of b .
Search WWH ::




Custom Search