Hardware Reference
In-Depth Information
Table 7.5
Past global clocking sampled value functions
Name
Description
Return expression value in previous tick of global clock
$past_gclk
Check whether signal value rose from last tick of global clock
$rose_gclk
Check whether signal value fell from last tick of global clock
$fell_gclk
Check whether signal value changed from last tick of global clock
$changed_gclk
Check whether signal value remained stable relative to last tick
of global clock
$stable_gclk
7.2.2
Global Clocking Sampled Value Functions
Global clocking sampled value functions are sampled value functions controlled
by the global clock. The global clock is the primary system clock, as explained in
Sect. 4.4.2 . There are two groups of global clocking sampled value functions: past
and future.
Global clocking sampled value functions may be used only if
global clocking has been defined.
7.2.2.1
Past Global Clocking Sampled Value Functions
Table 7.5 summarizes past global clocking functions. Past global clocking SVF
are simple shortcuts for corresponding general sampled value functions described
earlier.
$past_gclk(e) $past(e, 1, 1, @$global_clock)
$rose_gclk(e) $rose(e, @$global_clock)
$fell_gclk(e) $fell(e, @$global_clock)
$changed_gclk(e) $changed(e, @$global_clock)
$stable_gclk(e)
$stable(e, @$global_clock)
7.2.2.2
Future Global Clocking Sampled Value Functions
Table 7.6 summarizes future global clocking sampled value functions.
The future global clocking functions provide information about the future
behavior of an expression. Unlike the past global clocking functions, there are no
expressions using the general sampled value functions corresponding to future
global clocking functions. Function $future_gclk(e) returns the sampled value
 
Search WWH ::




Custom Search