Hardware Reference
In-Depth Information
513. Shurkin J. Engines of the Mind: A History of the Computer New York: W.W. Norton; 1984.
514. Shustek L. J. [1978]. “Analysis and Performance of Computer Instruction Sets,” Ph.D. dis-
sertation, Stanford University, Palo Alto, Calif.
515. Silicon Graphics. [1996]. MIPS V Instruction Set (see www.sgi.com/MIPS/arch/ISA5/
#MIPSV_indx ) .
516. Singh JP, Hennessy JL, Gupta A. Scaling parallel programs for multiprocessors: Methodo-
logy and examples. Computer . 1993;26(7):22-33 (July).
517. Sinharoy B, Koala RN, Tendler JM, Eickemeyer RJ, Joyner JB. POWER5 system microarchi-
tecture. IBM J Research and Development . 2005;49(4-5):505-521.
518. Sites, R. [1979]. Instruction Ordering for the CRAY-1 Computer, Tech. Rep. 78-CS-023, Dept.
of Computer Science, University of California, San Diego.
519. Sites RL, ed. Alpha Architecture Reference Manual . Burlington, Mass: Digital Press; 1992.
520. Sites RL, Witek R, eds. Alpha Architecture Reference Manual . Newton, Mass: Digital Press;
1955.
521. Skadron K, Clark DW. Design issues and tradeoffs for write buffers. Proc Third Int'l Sym-
posium on High-Performance Computer Architecture 1997;144-155.
522. Skadron K, Ahuja PS, Martonosi M, Clark DW. Branch prediction, instruction-window size,
and cache size: Performance tradeoffs and simulation techniques. IEEE Trans on Computers .
1999;48 (November).
523. Slater R. Portraits in Silicon Cambridge, Mass: MIT Press; 1987.
524. Slotnick DL, Borck WC, McReynolds RC. The Solomon computer. Proc AFIPS Fall Joint
Computer Conf. 1962;97-107.
525. Smith AJ. Cache memories. Computing Surveys . 1982;14(3):473-530 (September).
526. Smith A, Lee J. Branch prediction strategies and branch-target buffer design. Computer .
1984;17(1):6-22 (January).
527. Smith BJ. A pipelined, shared resource MIMD computer. Proc Int'l Conf on Parallel Processing
(ICPP) 1978;6-8.
528. Smith BJ. Architecture and applications of the HEP multiprocessor system. Real-Time Signal
Processing IV . 1981;298:241-248 (August).
529. Smith JE. A study of branch prediction strategies. Proc Eighth Annual Int'l Symposium on
Computer Architecture (ISCA) 1981;135-148.
530. Smith JE. Decoupled access/execute computer architectures. ACM Trans on Computer Sys-
tems . 1984;2(4):289-308 (November).
531. Smith JE. Characterizing computer performance with a single number. Communications of
the ACM . 1988;31(10):1202-1206 (October).
532. Smith JE. Dynamic instruction scheduling and the Astronautics ZS-1. Computer .
1989;22(7):21-35 (July).
533. Smith JE, Goodman JR. A study of instruction cache organizations and replacement
policies. Proc 10th Annual Int'l Symposium on Computer Architecture (ISCA) 1982;132-137.
534. Smith JE, Pleszkun AR. Implementing precise interrupts in pipelined processors. IEEE
Trans on Computers . 1988;37(5):562-573 (May) (This paper is based on an earlier paper that
appeared in Proc. 12th Annual Int'l. Symposium on Computer Architecture (ISCA) , June 17-19,
1985, Boston, Mass.).
535. Smith JE, Dermer GE, Vanderwarn BD, et al. The ZS-1 central processor. Proc Second Int'l
Conf on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
1987;199-204.
Search WWH ::




Custom Search