Hardware Reference
In-Depth Information
195. Fisher JA. Very long instruction word architectures and ELI-512. 10th Annual Int'l Symposi-
um on Computer Architecture (ISCA) 1982;140-150.
196. Fisher JA, Freudenberger SM. Predicting conditional branches from previous runs of a pro-
gram. Proc Fifth Int'l Conf on Architectural Support for Programming Languages and Operating
Systems (ASPLOS) 1992;85-95.
197. Fisher JA, Rau BR. Journal of Supercomputing 1993; January (special issue).
198. Fisher JA, Ellis JR, Rutenberg JC, Nicolau A. Parallel processing: A smart compiler and a
dumb processor. Proc SIGPLAN Conf on Compiler Construction 1984;11-16.
199. Flemming PJ, Wallace JJ. How not to lie with statistics: The correct way to summarize
benchmarks results. Communications of the ACM . 1986;29(3):218-221 (March).
200. Flynn MJ. Very high-speed computing systems. Proc IEEE . 1966;54(12):1901-1909 (Decem-
ber).
201. Forgie JW. The Lincoln TX-2 input-output system. Proc Western Joint Computer Conference
1957;156-160 (February).
202. Foster CC, Riseman EM. Percolation of code to enhance parallel dispatching and execution.
IEEE Trans on Computers . 1972;C-21(12):1411-1415 (December).
203. Frank SJ. Tightly coupled multiprocessor systems speed memory access time. Electronics .
1984;57(1):164-169 (January).
204. Freiman CV. Statistical analysis of certain binary division algorithms. Proc IRE .
1961;49(1):91-103.
205. Friesenborg SE, Wicks RJ. DASD Expectations: The 3380, 3380-23, and MVS/XA Gaithers-
burg, Md.: Tech. Bulletin GG22-9363-02, IBM Washington Systems Center; 1985.
206. Fuller SH, Burr WE. Measurement and evaluation of alternative computer architectures.
Computer . 1977;10(10):24-35 (October).
207. Furber SB. ARM System Architecture Harlow, England: Addison-Wesley; 1996; see
www.cs.man.ac.uk/amulet/publications/books/ARMsysArch ; 1996.
208. Gagliardi UO. Report of workshop 4—software-related advances in computer hardware.
Proc Symposium on the High Cost of Software 1973;99-120.
209. Gajski D, Kuck D, Lawrie D, Sameh A. CEDAR—a large scale multiprocessor. Proc Int'l
Conf on Parallel Processing (ICPP) 1983;524-529.
210. Gallagher DM, Chen WY, Mahlke SA, Gyllenhaal JC, Hwu WW. Dynamic memory disam-
biguation using the memory conflict buffer. Proc Sixth Int'l Conf on Architectural Support for
Programming Languages and Operating Systems (ASPLOS) 1994;183-193.
211. Galles M. Scalable pipelined interconnect for distributed endpoint routing: The SGI
SPIDER chip. Proc IEEE HOT Interconnects '96 1996.
212. Game M, Booker A. CodePack code compression for PowerPC processors. MicroNews .
1999;5 In: www.chips.ibm.com/micronews/vol5_no1/codepack.html ; 1999.
213. Gao QS. The Chinese remainder theorem and the prime memory system. 20th Annual Int'l
Symposium on Computer Architecture (ISCA) 1993; ( Computer Architecture News 21:2 (May),
337-340).
214. Gap. [2005]. “Gap Inc. Reports Third Quarter Earnings,” htp://gapinc.com/public/documents/
PR_Q405EarningsFeb2306.pdf .
215. Gap. [2006]. “Gap Inc. Reports Fourth Quarter and Full Year Earnings,” htp://gapinc.com/
public/documents/Q32005PressRelease_Final22.pdf .
216. Garner R, Agarwal A, Briggs F, et al. Scalable processor architecture (SPARC). Proc IEEE
COMPCON 1988;278-283.
217. Gebis J, Paterson D. Embracing and extending 20th-century instruction set architectures.
IEEE Computer . 2007;40(4):68-75 (April).
Search WWH ::




Custom Search