Digital Signal Processing Reference
In-Depth Information
Realization 3
t , sec
Realization 2
t , sec
Realization 1
t , sec
t = t o
t = 0
Fig. D.4
Sample functions of a noise process
MATLAB Simulation
In this experiment we will study the statistical properties of a sinusoidal signal
imbedded in AWGN (additive white Gaussian noise) at different SNRs (signal-to-
noise-ratios).
Task 1
Write a MATLAB code to generate M = 10 realizations of a sinusoidal signal
x(t) = acos (x o t) corrupted by AWGN process n(t) to give the noisy signal
y(t) = acos (x o t)+n(t). Take f o = 0.2 Hz, a = 1, and SNR = 1 dB. Show that
the ensemble mean of noise is approximately zero, and the ensemble mean of the
noisy signal is the deterministic signal s(t). This approximation is improved if we
take a larger number of realizations M (e.g., 50, 100). Plot the time signals and
their spectra for the first realization; also plot the ensemble means.
Repeat the above steps for different SNR values (e.g., -5, -1, 0, 1, 3, 10).
Task 2
For each realization in Task 1, find the pdf of noise p n (n) and take the average of
all realizations. Compare this pdf with the theoretical pdf given by:
p n ð n Þ¼ 1
r e n 2 = 2r 2
p
2p
Show practically that
Z
1
p n ð n Þ dn 1
1
 
Search WWH ::




Custom Search