Information Technology Reference
In-Depth Information
(a) The percentage of de-
tected errors.
(b) The percentage of cor-
rected errors.
Fig. 7. Non-persistent fault injection
the persistent fault rate is very high. At this point, additional multi-bit non-
persistent faults leads the total number of faults in the cache line higher than
OLSC can correct. Thus, non-persistent fault correction capability of MS-ECC
is around 20% or less. Note that error detection capability and error correction
capability of MS-ECC are identical since OLSC intends to produce the correct
data without trying to detect if there was a fault or not. In SVM, Flexicache
can not correct faults, but it can detect half of the injected faults (i.e. when
the size of the fault is odd). In DVM, it can correct half of the injected faults
since it uses parity for the error correction while it can detect more than 90% of
the injected faults. TVM can provide more than 90% error correction capability
until V dd is 400mV. When V dd is 320mV, only TVM can provide useful cache
capacity. At this point, it can detect 58% of the injected non-persistent faults
and can correct half of the injected faults. In this study, we switch from SVM
to DVM when the V dd is 600mV. One can decide to utilize DVM for higher V dd s
for reliability critical applications or systems in faulty environments in order to
provide higher reliability with the cost of useful cache capacity.
Area Overhead: After adding parity bits, parity calculators, extra slices,
XORs, majority voters, buffers and peripheral circuits, Flexicache presents 12%
area overhead compared to the typical cache without any protection. The biggest
portion of this overhead belongs to the extra slices which we add to make the
cache dividable by three, therefore, actually increasing the size of the cache.
This layout allows Flexicache dynamically switch between SVM, DVM and TVM
which provides maximum 100%, 50% and 33% useful cache capacity as we pre-
sented in Figure 5b.
6Con lu on
In this study, we present Flexicache, a novel, reliable cache design which config-
ures itself for different supply voltages from the nominal to the near threshold
voltage levels in order to duplicate or triplicate each data line if higher reli-
ability is required. Flexicache can continue to operate reliably up to 10% bit
failure rate. Therefore, it alters the possibility to operate in 320 mV. Compared
 
Search WWH ::




Custom Search